Main Content

Manual Switch

두 입력 간 전환

  • Manual Switch block

라이브러리:
Simulink / Signal Routing

설명

Manual Switch 블록은 출력으로 통과시킬 두 입력 중 하나를 선택하는 토글 스위치입니다. 입력 간에 전환하려면 블록을 더블 클릭하십시오. 시뮬레이션을 시작하기 전에 스위치를 설정하여 신호 흐름을 제어할 수도 있고 시뮬레이션을 실행하는 도중 스위치를 변경하여 신호 흐름을 제어할 수도 있습니다. Manual Switch 블록은 사용자가 모델을 저장할 시점의 현재 상태를 유지합니다.

프로그래밍 방식으로 스위치를 전환하려면 set_param sw 속성을 '0' 또는 '1'로 설정하십시오. 예를 들어, 두 번째 입력을 출력에 연결하려면 다음과 같이 하십시오.

set_param(gcb,'sw','0')

첫 번째 입력을 출력에 연결하려면 다음과 같이 하십시오.

set_param(gcb,'sw','1')

참고

Manual Switch 블록을 더블 클릭하면 블록 대화 상자가 열리지 않습니다. 대신, 입력 선택을 전환합니다.

포트

입력

모두 확장

Manual Switch 블록에 대한 두 개의 입력 중 첫 번째 입력입니다. 이 블록은 선택한 입력을 출력에 전파합니다. 입력 신호를 선택하려면 블록을 더블 클릭하여 전환하십시오.

데이터형: single | double | half | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point | enumerated | bus

Manual Switch 블록에 대한 두 개의 입력 중 두 번째 입력입니다. 이 블록은 선택한 입력을 출력에 전파합니다. 입력 신호를 선택하려면 블록을 더블 클릭하여 전환하십시오.

데이터형: single | double | half | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point | enumerated | bus

출력

모두 확장

첫 번째 입력 신호나 두 번째 입력 신호로부터 전파된 출력 신호입니다.

데이터형: single | double | half | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point | enumerated | bus

파라미터

모두 확장

블록 파라미터를 보려면 블록을 마우스 오른쪽 버튼으로 클릭하고 블록 파라미터(ManualSwitch)를 선택하십시오.

이 체크박스를 선택하여 다른 크기의 입력을 허용하고 선택한 입력 신호 크기를 출력 신호에 전파합니다. 체크박스의 선택을 해제하면 블록이 스칼라 입력을 확대하여 비 스칼라 입력과 동일한 차원을 사용합니다. Scalar Expansion of Inputs and Parameters 항목을 참조하십시오.

프로그래밍 방식의 사용법

파라미터: varsize
유형: 문자형 벡터
값: 'on' | 'off'
디폴트 값: 'off'

샘플 간의 시간 간격을 지정합니다. 샘플 시간을 상속하려면 이 파라미터를 -1로 설정하십시오. 자세한 내용은 샘플 시간 지정하기 항목을 참조하십시오.

종속성

이 파라미터는 -1 이외의 값으로 설정한 경우에만 표시됩니다. 자세한 내용은 Blocks for Which Sample Time Is Not Recommended 항목을 참조하십시오.

프로그래밍 방식의 사용법

블록 파라미터: SampleTime
유형: string형 스칼라 또는 문자형 벡터
디폴트 값: "-1"

블록 특성

데이터형

Boolean | bus | double | enumerated | fixed point | half | integer | single | string

직접 피드스루

다차원 신호

가변 크기 신호

영점교차 검출

아니요

확장 기능

고정소수점 변환
Fixed-Point Designer™를 사용하여 고정소수점 시스템을 설계하고 시뮬레이션할 수 있습니다.

버전 내역

R2006a 이전에 개발됨